Commit graph

3 commits

Author SHA1 Message Date
Felix Fietkau
eeebde136d Minor patch to the AR7 diag.sh to make the status LED a bit more useful. From the patch:
This setup gives us 3.5 distinguishable states:

- Solid OFF:  Bootloader running, or kernel hung (timer task stalled)
- Solid ON:   Kernel hung (timer task stalled)
- 5Hz blink:  preinit
- Heartbeat:  normal operation

Signed-off-by: oliver@opencloud.com

SVN-Revision: 9666
2007-12-07 00:03:14 +00:00
Eugene Konev
76fdf7d7b0 we are using leds-gpio now
SVN-Revision: 9252
2007-10-11 01:39:24 +00:00
Gabor Juhos
438dfe5c6f get rid of per-profile base-files
SVN-Revision: 9069
2007-09-29 14:27:54 +00:00